بترکه چشم حسود    

جستجوی پیشرفته مقالات

     عنوان:

نماد اعتماد الکترونیکی

لیست مقالات ترجمه شده

سایر مقالات

امروز
دیروز
هفته جاری
هفته گذشته
ماه جاری
ماه گذشته
بازدید کل
456
23099
108489
16404402
499933
496149
16889068

آی‌پی شما: 34.238.138.162
امروز: جمعه، 10 فروردين 1403 شمسی ساعت به وقت گرینویچ: 00:01:06

توجه                           توجه

تمامی مقالات ترجمه شده در قالب فایل ورد (Word)  ارائه می‌شوند.



طراحی واحد اعشاری موثر در انرژی- مقالات مدار منطقی

لینک دانلود فایل خریداری شده، بلافاصله بعد از پرداخت آنلاین فعال می‌شود.

عنوان محصول:
طراحی واحد اعشاری موثر در انرژی- مقالات مدار منطقی



قیمت: 220000 ریال

  دسته‌بندی: مقالات مدار منطقی

Energy-Efficient Floating-Point Unit Design

Abstract

Energy-efficient computation is critical if we are going to continue to scale performance in power-limited systems. For floating-point applications that have large amounts of data parallelism, one should optimize the throughput=mm2 given a power density constraint. We present a method for creating a trade-off curve that can be used to estimate the maximum floating-point performance given a set of area and power constraints. Looking at FP multiply-add units and ignoring register and memory overheads, we find that in a 90 nm CMOS technology at 1 W=mm2, one can achieve a performance of 27 GFlops=mm2 single precision, and 7:5 GFlops=mm2 double precision. Adding register file overheads reduces the throughput by less than 50 percent if the compute intensity is high. Since the energy of the basic gates is no longer scaling rapidly, to maintain constant power density with scaling requires moving the overall FP architecture to a lower energy/performance point. A 1 W=mm2 design at 90 nm is a “high-energy” design, so scaling it to a lower energy design in 45 nm still yields a 7 _ performance gain, while a more balanced 0:1 W=mm2 design only speeds up by 3:5_ when scaled to 45 nm. Performance scaling below 45 nm rapidly decreases, with a projected improvement of only _ 3_ for both power densities when scaling to a 22 nm technology.

 

pdfدانلود رایگان مقاله انگلیسی                 3.3 MB

چکیده

محاسبات موثر در انرژی حائز اهمیت می باشد، در صورتیکه به مقیاس گذاری کارایی در سیستم هایی با توان محدود ادامه دهیم. برای کاربردهای اعشاری که مقدار زیادی موازی سازی داده دارند، باید throughput/mm2 را با در نظر گرفتن شرط چگالی توان بهینه سازیم. روشی برای ایجاد منحنی رابطه جایگزینی ارائه می کنیم که می تواند برای ارزیابی ماکزیمم کارایی اعشاری با در نظر گرفتن مجموعه شرط های سطح و توان مورد استفاده قرار گیرد. با در نظر گرفتن واحدهای ضرب – جمع FP و نادیده گرفتن سربارهای ثبات و حافظه، پی می بریم که در تکنولوژی 90nm CMOS در1W/mm2، می توان به کارایی 27 GFlops/mm2 دقت واحد و 7.5 GFlops/mm2 دقت مضاعف دست یافت. افزودن سربارهای رجیستر فایل، خروجی را تا کمتر از 50 درصد کاهش می دهد، در صورتیکه شدت محاسبات بالا باشد. از آنجاییکه انرژی گیت های پایه دیگر بسرعت مقیاس بندی نمی شود، ثابت نگه داشتن چگالی توان با مقیاس بندی نیاز به انتقال معماری FP کلی به نقطه انرژی/کارایی پایین تر دارد. طرح 1W/mm2 در 90nm یک طرح "انرژی بالا" می باشد، بنابراین، مقیاس بندی آن در طرح انرژی پایی تر در 45nm منجر به بهره کارایی 7x می شود، در حالیکه طرح متوازن تر تنها سرعت را تا 3.5x افزایش می دهد، زمانیکه به 45nm مقیاس بندی شد. مقیاس بندی کارایی زیر 45nm بسرعت کاهش می یابد، با بهبود تنها بری هر دو چگالی توان زمانیکه مقیاس بندی در تکنولوژی 22nm صورت می گیرد.

تعداد صفحات مقاله انگلیسی: 10 صفحه
تعداد صفحات مقاله فارسی: 30 صفحه

اضافه کردن نظر